ASML provides strategy and financial update at Investor Day in Veldhoven


Growth opportunity continues

VELDHOVEN, the Netherlands, November 8, 2018 - At the Investor Day meeting today at its headquarters in Veldhoven, the Netherlands, ASML Holding N.V. (ASML) will provide an update on the company's strategy, performance and outlook. Chief Executive Officer Peter Wennink, together with Chief Technology Officer Martin van den Brink and Chief Financial Officer Roger Dassen and other senior executives, will discuss the company's growth opportunities and developments in the market and technology.

Highlights of the presentations

Company strategy, market trends and value creation

  • ASML sees a healthy semiconductor end market growth fueled by major innovation drivers such as 5G connectivity, artificial   intelligence, autonomous driving, and big data.
  • This translates into growth of world-wide fab capacity in all segments, especially at the leading-edge nodes.
  • Strong growth opportunity in lithography lasting beyond the next decade with a mix transition from DUV to EUV.

Industry roadmap and technology strategy

  • Moore's Law innovation continues, driven by multiple strategies that accelerate performance scaling over the next decade.
  • Continued process technology innovation attracts new applications and increases wafer demand.
  • Moore's Law continues to enable industry growth and lithography is a key enabler of cost-effective shrink.

EUV products and business opportunity

  • ASML EUV lithography extends our Logic and DRAM customers' roadmap by providing lithography resolution improvement, state-of-the-art overlay performance and year-on-year cost reduction.
  • Our customers are preparing for EUV ramp at the 7nm Logic node and the 16nm DRAM node, with systems deliveries and ongoing qualification. EUV layer adoption continues to grow, reducing patterning complexity and cost.
  • EUV industrialization is well underway towards meeting our customers' requirements for availability, productivity and yield in high-volume manufacturing. The necessary ecosystem is also in place to support our customer EUV ramp.
  • In the second half of 2019, we will introduce the NXE:3400C, specified at 170wph and with >90% availability.
  • The EUV product roadmap will extend our 0.33NA EUV platform and introduce the 0.55NA EUV platform in parallel, providing comprehensive and flexible solutions to our customers' continuous demand for patterning scaling well into the next decade.
  • We are seeking EUV profitability at DUV profitability level through the combined execution of our value-enhancing product roadmap and aggressive systems and service cost-reduction roadmap.

Applications products and business opportunity

  • ASML's Holistic Lithography roadmap is driven by its unique capability to help customers achieve their pattern fidelity requirements.
  • 2018 will be another record year for the Applications business, with product wins across all customers and all market segments (Logic, DRAM, NAND).
  • The primary driver of growth is the extension of our solutions into pattern fidelity control: 
    • New offerings extend the roadmap with innovative products that combine ASML's computational technology with HMI's e-beam expertise.
    • Hardware and software products support the introduction of EUV into HVM.
    • New applications of deep learning in both computational litho and defect inspection drive improved performance.
    • The Applications business is projected to continue to grow at 15-20% CAGR with strong gross margins for the period 2017 through 2025.

 DUV products and business opportunity

  • DUV system revenues increased significantly over the last decade, driven by immersion technology, and has been an important driver of ASML's growth.
  • Over the next 5-7 years, DUV sales will remain important and its composition will change from mainly systems sales to approximately 50% systems and 50% Installed Base Management sales.
  • ASML is systematically developing its DUV service and field upgrade product portfolio to meet customer requirements and improve performance, and/or extend the lifetime of its installed base, while optimizing its sales opportunities in this space.
  • DUV is well prepared to maintain margins during this transition through:
    • An innovative DUV Technology Roadmap aligned with customer roadmaps.
    • Adopting commonalities between EUV and DUV module development.
    • Continuously improving its operations to become leaner and more efficient, while driving quality of systems, services and field options.

Business model and capital allocation strategy

  • We have invested substantially in technology leadership and have thereby created significant shareholder value.
  • Our products and services will continue to enable our customers to achieve cost-effective shrink, fueling the highly profitable and growing semiconductor and technology applications industry.
  • At the last Investor Day in New York in October 2016, we announced that we expected to grow our net sales to approximately EUR 10 billion by 2020, which was adjusted after the HMI acquisition to EUR 11 billion. We currently expect our net sales to grow to around EUR 13 billion by 2020, which is supported by a scenario of moderate market growth.
  • Based on different market scenarios, we have an opportunity to grow our annual revenue for 2025 to between EUR 15 billion (low market) and EUR 24 billion (high market).
  • We expect to continue to return significant amounts of cash to our shareholders through a combination of share buybacks and growing dividends.

Webcast and presentations
For those not joining the Investor Day in person, there will be a live webcast (audio and slides) on November 8, 2018, starting at 13:00 Central European Time / 09:00 U.S. Eastern Time and ends at 17:00 Central European Time / 13:00 U.S. Eastern Time. Link: https://asml.nepgroup-webinars.com/investor-day-2018.
The presentations and the recording of the audio webcast will be available at www.asml.com as of November 8, 2018 at 18:00 CET.

ASML Media Relations Contacts ASML Investor Relations Contacts
Monique Mols, phone +31 6 528 444 18 Skip Miller, phone +1 480 235 0934
Lucas van Grinsven, phone +31 6 1019 9532

 
Marcel Kemp, phone +31 40 268 6494
Niclas Mika, phone +1 858 385 6623

 
Craig DeYoung, phone +852 2295 1168

About ASML
ASML is one of the world's leading manufacturers of chip-making equipment. Our vision is a world in which semiconductor technology is everywhere and helps to tackle society's toughest challenges. We contribute to this goal by creating products and services that let chipmakers define the patterns that integrated circuits are made of. We continuously raise the capabilities of our products, enabling our customers to increase the value and reduce the cost of chips. By helping to make chips cheaper and more powerful, we help to make semiconductor technology more attractive for a larger range of products and services, which in turn enables progress in fields such as healthcare, energy, mobility and entertainment. ASML is a multinational company with offices in 60 cities in 16 countries, headquartered in Veldhoven, the Netherlands. We employ more than 22,000 people on payroll and flexible contracts (expressed in full time equivalents). ASML is traded on Euronext Amsterdam and NASDAQ under the symbol ASML. More information about ASML, our products and technology, and career opportunities is available on www.asml.com.

Regulated Information
This press release contains inside information within the meaning of Article 7(1) of the EU Market Abuse Regulation.

 Forward-Looking Statements
This document contains statements relating to certain projections, business trends and other matters that are forward-looking, including statements with respect to expected trends and outlook, strategy, bookings, expected financial results and trends, including expected sales, EUV revenue, gross margin, capital expenditures, R&D and SG&A expenses, cash conversion cycle, and target effective annualized tax rate, and expected financial results and trends for the rest of 2018 and 2019, expected revenue growth and demand for ASML's products in logic and memory, expected annual revenue opportunity in 2020 and for 2025 and expected EPS potential in 2020 with significant growth in 2025, expected trends in the lithography system market, fab capacity by segment, the automotive and artificial intelligence industries, connectivity, semiconductor end markets and new semiconductor nodes, expected acceleration of chipmakers' performance for the next decade, expected EUV insertion and transistor density growth, trends in DUV systems revenue and Holistic Lithography and installed based management revenues, statements with respect to expectations regarding future DUV sales, including composition, margins, improvement of operations and performance, DUV product roadmaps, expected benefits of the holistic productivity approach, including in terms of wafers per year, expected industry trends and expected trends in the business environment, statements with respect to customer demand and the commitment of customers to High NA machines and to insert EUV into volume manufacturing by ordering systems, expected future operation of the High NA joint lab, statements with respect to holistic lithography roadmaps and roadmap acceleration, including the introduction of higher productivity systems in 2019 (including the expected shipment of NXE:3400C and expected timing thereof) and the expected benefits, ASML's commitment to volume manufacturing and related expected plans until 2030, ASML's commitment  to secure system performance, shipments, and support for volume manufacturing, including availability, timing of and progress supporting EUV ramp and improving consistency, productivity, throughput, and production and service capability enabling required volume as planned, including expected shipments, statements with respect to growth of fab capacity driving demand in lithography systems, planned customer fabs for 200 systems and expected first output in 2019, expected EUV value increase and increase in EUV margins and ASML's expectation of EUV profitability at the DUV level, expected installed base of EUV systems, expected customer buildout of capacity for EUV systems, EUV estimated demand by market, expected increase in lithography intensity, statements with respect to the expected benefits of EUV, including year-on-year cost reduction and system performance, and of the introduction of the new DUV system and expected demand for such system, the expected benefits of HMI's e-beam metrology capabilities, including the expansion of ASML's integrated Holistic Lithography solutions through the introduction of a new class of pattern fidelity control, the extension of EUV to enable cost effective single patterning shrink with EUV, statements with respect to ASML's applications business, including statements with respect to expected results in 2018, expected growth of the applications business and expected drivers of growth, expected growth in margins, continued shrink and drivers, and expected accuracy, defect control and performance improvements, shrink being a key driver supporting innovation and providing long-term industry growth, lithography enabling affordable shrink and delivering value to customers, DUV, Holistic Lithography and EUV providing unique value drivers for ASML and its customers, expected industry innovation, the expected continuation of Moore's law and that EUV will continue to enable Moore's law and drive long term value for ASML beyond the next decade, intention to return excess cash to shareholders through stable or growing dividends and regularly timed share buybacks in line with ASML's policy, statements with respect to the expectation to continue to return cash to shareholders through dividends and share buybacks, and statements with respect to the expected impact of accounting standards. You can generally identify these statements by the use of words like "may", "will", "could", "should", "project", "believe", "anticipate", "expect", "plan", "estimate", "forecast", "potential", "intend", "continue", "targets", "commits to secure" and variations of these words or comparable words. These statements are not historical facts, but rather are based on current expectations, estimates, assumptions and projections about the business and our future financial results and readers should not place undue reliance on them.

Forward-looking statements do not guarantee future performance and involve risks and uncertainties. These risks and uncertainties include, without limitation, economic conditions, product demand and semiconductor equipment industry capacity, worldwide demand and manufacturing capacity utilization for semiconductors, including the impact of general economic conditions on consumer confidence and demand for our customers' products, competitive products and pricing, the impact of any manufacturing efficiencies and capacity constraints, performance of our systems, the continuing success of technology advances and the related pace of new product development and customer acceptance of and demand for new products including EUV and DUV, the number and timing of EUV and DUV systems shipped and recognized in revenue, timing of EUV orders and the risk of order cancellation or push out, EUV production capacity, delays in EUV systems production and development and volume production by customers, including meeting development requirements for volume production, demand for EUV systems being sufficient to result in utilization of EUV facilities in which ASML has made significant investments, potential inability to successfully integrate acquired businesses to create value for our customers, our ability to enforce patents and protect intellectual property rights, the outcome of intellectual property litigation, availability of raw materials, critical manufacturing equipment and qualified employees, trade environment, changes in exchange rates, changes in tax rates, available cash and liquidity, our ability to refinance our indebtedness, distributable reserves for dividend payments and share repurchases, results of the share repurchase plan and other risks indicated in the risk factors included in ASML's Annual Report on Form 20-F and other filings with the US Securities and Exchange Commission. These forward-looking statements are made only as of the date of this document. We do not undertake to update or revise the forward-looking statements, whether as a result of new information, future events or otherwise.


Attachments

Link to Press Release