RI-logo.png
Samsung Foundry Adopts Real Intent Meridian CDC for Clock Domain Crossing Sign-off
28 oct. 2020 12h00 HE | Real Intent
SUNNYVALE, Calif., Oct. 28, 2020 (GLOBE NEWSWIRE) -- Real Intent, Inc., today announced that Samsung Foundry has adopted Real Intent Meridian CDC as part of their internal reference flow for signing...
RI-logo.png
Real Intent Announces Verix Multimode DFT Static Sign-Off Tool
09 juin 2020 06h00 HE | Real Intent
SUNNYVALE, Calif., June 09, 2020 (GLOBE NEWSWIRE) -- Real Intent, Inc., today announced Verix DFT, a full-chip, multimode DFT static sign-off tool. Verix DFT’s comprehensive set of fine-grained DFT...
2-Step Early Functional Verification with Real Intent Ascent Lint & Ascent AutoFormal
Real Intent Announces 10X+ Speedup & 5X Capacity Improvement for Ascent AutoFormal Automatic RTL Verification
25 juin 2019 08h00 HE | Real Intent
SUNNYVALE, Calif., June 25, 2019 (GLOBE NEWSWIRE) -- Real Intent, Inc., today announced that its Ascent AutoFormal automatic RTL verification tool has been improved to provide 10X+ performance gains...
RI-logo.png
Real Intent Achieves ISO 26262 Functional Safety Compliance for Static Sign-Off Tools Meridian CDC, Meridian RDC, Ascent Lint, & iDebug
05 févr. 2019 12h30 HE | Real Intent
SUNNYVALE, Calif., Feb. 05, 2019 (GLOBE NEWSWIRE) -- Real Intent, Inc. today announced that its static sign-off tools -- Meridian CDC (Clock Domain Crossing), Meridian RDC (Reset Domain Crossing),...