ACM Research Introduces New Tools for Advanced Metal Plating


FREMONT, Calif., March 18, 2019 (GLOBE NEWSWIRE) -- ACM Research, Inc. (“ACM” or the “Company”) (NASDAQ:ACMR), a provider of single-wafer wet cleaning equipment used by manufacturers of advanced semiconductors, today announced two new semiconductor electro-plating tools at SEMICON China. 

ACM’s Ultra ECP AP, or “Advanced Wafer Level Packaging,” is a back-end assembly tool used for bumping, or applying copper, tin and nickel to semiconductor wafers at the die level prior to packaging.  The Ultra ECP AP delivers better plating performance in the form of a more uniform metal layer at the notch area by using ACM’s proprietary technology.  This advanced technology solution delivers better yields, greater plating efficiency and higher throughput during the fabrication process. ACM’s solution can also support a wide range of packaging solutions, such as Cu pillar, solder bump, RDL, fan-out application, TSV and gold bump. 

ACM’s Ultra ECP MAP, or “Multi Anode Partial Plating,” is used in front-end wafer fabrication processes.  The tool utilizes proprietary ACM technology to deliver world-class electrochemical copper plating for copper interconnect applications.  The ECP MAP offers improved gap filling performance for plating on an ultra-thin seed layer, which is critical for advanced nodes at 14, 12 nm and beyond. 

ACM’s President and Chief Executive Officer Dr. David Wang commented, "These new ECP tools demonstrate our continued commitment to technology leadership by delivering innovative, proprietary high-performance products beyond our single-wafer wet cleaning solutions.  The advanced semiconductor plating market represents a good growth opportunity for us.  We believe we are well-positioned to capitalize on the opportunity, as our ECP tools deliver significant benefits to our customers, including greater performance, increased flexibility, and improved cycle times."

ACM also announced today that it has received purchase orders for two Ultra ECP AP tools from one of its major packaging customers, and a first tool purchase order for its Ultra ECP MAP tool from a key foundry customer.  The Company expects to deliver these tools to the respective customers in the coming months.

Forward-Looking Statements

Information presented in this press release with respect to ACM’s expected delivery of tools and their performance characteristics are forward-looking statements for purposes of the safe harbor provisions of the Private Securities Litigation Reform Act of 1995. ACM’s actual results with respect to the timing of the tool deliveries may vary significantly from ACM Research’s expectations based on a number of risks and uncertainties, including unanticipated delays in the production process, ACM cannot guarantee any future results, levels of activity, performance or achievements. ACM expressly disclaims any obligation to update forward-looking statements after the date of this press release.

About ACM Research, Inc.

ACM Research develops, manufactures and sells single-wafer wet cleaning equipment, which semiconductor manufacturers can use in numerous manufacturing steps to remove particles, contaminants and other random defects, and thereby improve product yield, in fabricating advanced integrated circuits.

© ACM Research, Inc.  The ACM Research logo is a trademark of ACM Research, Inc. All rights reserved. Any other trademarks are the property of their respective owners.

For investor and media inquiries, please contact:

In the United States:             
The Blueshirt Group
Ralph Fong
+1 (415) 489-2195
ralph@blueshirtgroup.com

In China:                              
The Blueshirt Group Asia
Gary Dvorchak, CFA
+86 (138) 1079-1480
gary@blueshirtgroup.com